FPGA之按键消抖

本文介绍了FPGA中按键消抖的必要性及其原理,指出由于机械开关的弹性导致的按键抖动问题。消抖通常采用延时方法,在按键按下5-10ms稳定后读取电平。此外,还提供了包含消抖功能的Verilog代码示例,该代码实现了LED灯的循环点亮控制。
摘要由CSDN通过智能技术生成

按键消抖

通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动,为了不产生这种现象而作的措施就是按键消抖。

消抖原理

抖动时间的长短由按键的机械特性决定,一般为5ms~10ms。在开始的抖动时间内,按键会不断地断开闭合,这就会导致电路认为我们在不断的按下按键,对实验造成很大的影响。

消抖的常用方法为延时消抖。延时消抖是指在按下按键5-10ms后,等按键不再抖动后进行电平读取;

Verilog代码 

本段代码实现的功能是:复位结束后,第一个LED灯亮,然后每按下第一个LED的按键,当前亮着的灯会熄灭,下一个灯亮起,依次循环。

没有消抖的代码如下: 

module keyshiftled(
	input		wire	clk,
	input		wire	rst_n,
	input		wire	key,//按下后电平为低
	output		wire[3:0]led
	);
reg	[3:0] shift_led =4'b0001;
reg			key_reg;
//寄存器打拍
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		// reset
		key_reg <= 1'b1;
	end
	else begin
		key_reg <= key;
	end
end

always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
	
  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值