Quartus II自带仿真工具的使用

刚入门EDA就踩到坑(我觉得应该称之为quartus II软件的一个bug),折腾了很久,百度也搜索不到好的经验,只好自己总结了。

我得澄清一下,这篇文章并不能“包治百病”,写这篇文章是为了解决下面这个报错信息(之前没有保存截图,现在回过头来只好从网上找了一张报错信息相似度高的图片),其它报错我没有遇到自然谈不上解决。
在这里插入图片描述
如果没有遇到这个报错,*****号标注的步骤可以跳过,其它步骤完全可以作为VWF仿真的参考。

以quartus II 13.1为例:
1.打开Quartus II,打开或创建一个工程
(此时我的工程文件夹下只有工程文件,下一步就是创建一个波形仿真文件)
在这里插入图片描述
2.对工程进行全程编译,若没有errors,则可进行下一步
在这里插入图片描述
3.创建波形仿真文件
File->New->University Program VWF
在这里插入图片描述
点击ok,出现如下界面
在这里插入图片描述
双击左侧空白栏,出现界面
在这里插入图片描述
点击Node Finder…
在这里插入图片描述
点击List
在这里插入图片描述
分别以Group形式选择AX,BX,RX至右侧Selected Nodes栏
在这里插入图片描述
点击ok,改变Radix,这里我改为Hexadecimal
在这里插入图片描述
点击ok,然后按住鼠标左键在input波形中拖拽出蓝色区域,接着点击红框指出的图标
在这里插入图片描述
输入值
在这里插入图片描述
其余input信号操作类似,不再赘述
在这里插入图片描述
4.*****设置好初始状态后,即可进行仿真(注意此步骤先不保存)
Simulation->Run Timing Simulation->Yes->取消
在这里插入图片描述
在这里插入图片描述
*****关闭弹出窗口,返回软件主窗口,找到Tools->Launch Simulation Library Compiler点击,如图设置
在这里插入图片描述
*****点击Start Compilation
在这里插入图片描述
切换到仿真窗口,再次仿真(这次需要保存),得到输出波形
在这里插入图片描述
5.此后再次打开此工程,编译后双击打开vwf文件,就可直接点Simulation->Run Timing Simulation进行仿真,只有第一次需要如上设置
在这里插入图片描述

  • 24
    点赞
  • 168
    收藏
    觉得还不错? 一键收藏
  • 9
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 9
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值