Vivado自定义IP封装流程

一,概述

将已有的FPGA功能模块封装成IP,方便在Vivado中使用。为了增加通用性,使用AXI4-Lite总线作为控制总线,使用AXI4-Stream总线作为数据流总线。

二,IP封装流程

在Vivado工程中,选择菜单栏中的Tools,然后再下拉菜单中选择Creat and Package IP… 。如图1所示。


图1 创建或打包IP

然后弹出向导,如图2所示。


图2 IP创建向导

点击 next,进入下一步,选择操作类型,如图3所示。在本例中选择对特定文件夹内的代码进行封装。


图3 选择操作类型

选择打包代码所在的文件夹,如图4所示。


图4 选择目标文件夹

向导提示需要为IP工程制定一个名字和存储地址,如图5所示,这个工程是临时的,IP封装完之后就会消失,再次编辑IP时才会再重新建立


图5 设置IP工程名称和位置

点击Finish结束,如图6所示。


图6 创建完成

三,设置IP参数

设置IP的信息,例如名称,版本,功能描述等,如图7所示。


图7 IP信息设置

配置IP的兼容性,一般选择默认就可以了,如图8所示。


图8 IP兼容性配置

配置IP的文件系统,一般选择默认就可以了,如图9所示。


图9 IP文件系统

配置IP的参数,为了方便系统能识别该IP的AXI4-Lite总线,需要顶层文件中定义2个参数,分别是:AXI_BASEADDR和AXI_HIGHADDR,为32bit数据,用来作为该AXI4外设的地址空间,如图10所示。


图10 IP参数配置

配置IP的端口,由于IP自动添加的总线端口一般都有问题,所有在配置前,需要将自动添加的总线端口去掉,然后再配置端口。

1.去掉端口

2.添加端口

\

3.添加AXI总线


4.配置AXI总线信息

5.配置端口

6.配置参数

注意,这里需要对AXI总线的时钟增加“ASSOCIATED_BUSIF“,并对应到各种的总线。

配置IP的地址空间,需要修改成图11所示。


图11 IP地址控制

配置IP的界面,可以根据自己的需要进行配置。如图12所示。


图12 IP界面

最后生成IP,如图13所示。


图13 封装IP

三,测试

打开一个Vivado工程,进入IP Catalog,然后右键调出下拉菜单,选择Add Repository..,选择IP所在的文件夹,如图14所示。

!

图14 导入IP

这里就可以在IP Catalog中找到刚才自定义的IP,并使用,如图15所示。双击该IP,就可以对该IP进行配置。


图15 使用IP

  • 17
    点赞
  • 91
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Vivado是一种流行的FPGA设计工具,它提供了许多IP核来加速开发过程。然而,并不是所有的需求都可以通过Vivado自带的IP核来满足。在这种情况下,设计师可以创建自己的自定义IP核以实现特定的功能或加速系统性能。 创建自定义IP核的方法与使用Xilinx官方IP核的方法类似。首先,你需要使用VivadoIP Integrator界面来创建一个新的IP。在创建过程中,你可以指定IP的输入输出接口、功能和参数等信息。接下来,你需要使用HDL语言(如Verilog或VHDL)编写IP的逻辑代码。这些代码将定义IP的行为和功能。 一旦你完成了IP的逻辑设计,你可以将它综合到FPGA设备中进行验证。你可以使用Vivado的综合和实现工具来生成bitstream文件,并将其加载到FPGA设备中进行测试。 对于其他工程中使用封装好的IP核的情况,你需要将IP核文件添加到IP核库中。这样,在其他工程中就可以直接使用这个封装好的IP核了。 总的来说,Vivado提供了强大的功能来创建自定义IP核,以满足特定的设计需求。通过设计自定义IP核,开发者可以更好地定制化设计,提高开发效率。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [Vivado自定义IP核](https://blog.csdn.net/mengzaishenqiu/article/details/130048317)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值