VHDL笔记1

在集成电路设计中, register-transfer level(RTL)是用于描述同步数字电路操作的抽象级。

  在RTL级,IC是由一组寄存器以及寄存器之间的逻辑操作构成。之所以如此,是因为绝大多数的电路可以被看成由寄存器来存储二进制数据、由寄存器之间的逻辑操作来完成数据的处理,数据处理的流程由时序状态机来控制,这些处理和控制可以用硬件描述语言来描述。

  RTL级和门级简单的区别在于,RTL是用硬件描述语言(Verilog 或VHDL)描述理想达到的功能,门级则是用具体的逻辑单元(依赖厂家的库)来实现你的功能,门级最终可以在半导体厂加工成实际的硬件,一句话,RTL和门级是设计实现上的不同阶段,RTL经过逻辑综合后,就得到门级。

  RTL描述是可以表示为一个有限状态机,或是一个可以在一个预定的时钟周期边界上进行寄存器传输的更一般的时序状态机,通常VHDL/verilog两种语言进行描述。

题目要求

分别用两种方式表达此电路:
1)在一个模块中用两个过程来表达;
2)用顶层文件和例化语句的形式来表达。
给出下面RTL图的verilog描述。
1)纯过程语句描述
2)纯连续赋值语句描述

参考答案

两个过程

顶层文件和例化语句

纯过程语句描述

纯连续赋值语句描述

RTL Viewer

源码下载

从码云下载



 

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值