vhdl中mod与rem的区别

mod(取模)and rem(取余)

VHDL has mod and rem. They return the same value if both arguments are positive.
but, they produce different results for negative inputs:
5 mod 3 = 2
(-5) mod 3 = 1
5 mod (-3) = -1
(-5) mod (-3) = -2

for mod, the result has the same sign with the first argument.
whereas
5 rem 3 = 2
(-5) rem 3 = -2
5 rem (-3) = 2
(-5) rem (-3) = -2
for rem,the result has the same sign with the second argument.

A rem B = A - ( A / B ) * B --余数运算符 利用操作数A决定结果的正负号

A mod B = A - B * N --取模运算符 利用操作数B决定结果的正负号

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值