第一章 VHDL基本结构

本文详细介绍了VHDL语言的基本结构,包括实体、结构体、块、子程序和进程,以及库和程序包。实体是设计的基本单元,结构体描述了实体的功能和内部连接。进程中描述了设计实体的行为,而子程序则包括过程和函数,用于实现重复性工作。此外,还讨论了库和程序包在设计中的作用,它们提供了共享资源和元件说明。
摘要由CSDN通过智能技术生成

第一章 VHDL基本

1.1  

1.2   构体

1.3   、子程序和 

1.4   和程序包

1.5   配置

              一个完整的VHDL程序,或者说设计实体,通常要求最低能VHDL合器所支持,并能作一个独立的设计单元,即元件的形式而存在的VHDL程序。在VHDL程序中,通常包含体(ENTITY)、构体(ARCHITECTURE)、配置(CONFIGURATION)、包集合(PACKAGE)和LIBRARY5个部分。其中体和构体两个基本构是必需的,他可以构成最简单VHDL程序。 

1.1  

         设计实VHDL设计的基本元,简单的可以是一个与复杂的可以是一个微理器或一个数字系,其构基本是一致的,都是明和构体两部分明是对这设计实体与外部行接口的描述,它定了设计单元的出接口信号或引脚,是设计实外的一个通信界面。构体用于描述此设计实体的逻辑结构和逻辑功能

构如下:

ENTITY  体名   IS

    [GENERIC属表);]

    [PORT(端口表);]

END  ENTITY  体名

例:

ENTITY  or2   IS

      PORTabIN  STD_LOGIC;

             C: OUT STD_LOGIC);

     END  ENTITY  or2

注意:“ENTITY  体名  IS”始,“END  ENTITY  体名;束。

       次化系统设计明是整个模或整个系出(I/O)接口;在一个器件设计中,明是一个芯片的出(I/O)。

1.1.1属参量GENERIC

属参量是组织中的可选项,放在端口明之前,其一般格式

GENERIC [CONSTANT] 名字表:[IN] 标识 [:= 表达式]…]

        属参量是一端口界面常数,常用来定端口的大小、体中子元件的数目及体的定特性等。它和常数不同,常数只能从设计实体的内部得到赋值且不能改,而属参量的可由设计实体的外部提供。因此设计者可以从外面通过类属参量的重新定而容易的改一个设计实体或一个元件的内部构和模。

例:

GENERIC trisetfallTIME:=1ns;

                    Addrwidth:INTEGER:=16);

PORT(a0, a1 : IN STD_LOGIC;

              Add_bus:OUT STD_LOGIC_VECTOR(addrwidth-1 DOWNTO 0);

属参量中参数trise上升沿度,tfall下降沿度,用于仿真模设计;定地址总线Addrwidth位,Addrwidth的改将使构体中所有相总线,由此使整个设计实体的硬件

1.1.2 端口PORT

         端口为设计实体和其外部境提供动态通信的通道,是基本设计单元与外部接口的描述,其功能相当符号的外部引脚。端口可以被赋值,也可以当做逻辑变量用在逻辑表达式中。

其一般写格式

PORT (端口名 :端口模式  数据型;

                端口名 :端口模式  数据型;

                    );

其中端口名是设计为实体的一个外通道所取的名字,通常英文字母加数字,名字的定有一定的例,clk 表示时钟D开头的端口名表示数据,A开头的端口名表示地址。端口模式是指些通道上的数据流的方式,如入或等。

端口模式有以下几种类型:

    1入(IN

      信号体,主要用于时钟输入、控制入(如loadresetenableclk)和向的数据入(如地址数据信号address)等。 

2出(OUT   

        出模式只允信号离开实体,常用于出、向数据出、被设计实生的控制其他体的信号等。注意:出模式不能用于被

  • 4
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值