Quartus ii 中ROM ip核的应用

该博客介绍了如何在Quartus ii中利用IP核创建ROM,用于存储固定数据如三角波,并通过In system memory content editor在线修改数据为正弦波。实验包括生成.mif文件,配置ROM IP核,进行仿真验证,以及通过SignalTap II观察输出波形。
摘要由CSDN通过智能技术生成

ROM: read only memory: 掉电不丢失数据
RAM可以被配置为ROM

实验内容:

  1. 将一组固定数据(三角波)存储在FPGA中使用IP核构建的片上ROM中,开发板上电后,系统开始从ROM中读取数据,通过并口输出。
  2. 用signalTap II 实时抓取并口上的数据,显示三角波形。
  3. 用In system memory content editor工具在线更改ROM中的数据(改为正弦波),用signalTap再次观察。

Quartus ii 实现

  1. 生成三角波.mif文件: triangular.mif:
    通过excel生成256个数据,从0-127, 127-0.然后在 Quartus ii 中新建一个Memory initialization file,设置为256 words, 8 word size. 然后将excel中的256个数据全部复制粘贴到Quartus ii自动填充,保存为triangular.mif(保存在工程目录下,包含有.qpf的文件夹中)。
    tri_mif
    通过mif_maker 2020 软件生成sin.mif文件,设置如下图所示,然后点击保存为sin.mif,保存到含有.qpf的工程文件下。
    mif_maker1

mif_maker2

  1. 在Quartus ii 中打开Tools --> IP Catalog 中选择 ROM 1 port 进行配置,命名为rom,生成vhdl代码。配置如下图所示:(其他设置保持不变)
  • 8
    点赞
  • 54
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值