Vorilog利用PLL实现双脉冲输出

本文介绍了一个利用Verilog的PLL产生40MHz时钟,进而生成脉宽25ns、间隔75ns的双脉冲信号的实验。通过设置计数器,在Chipscope中验证了双脉冲的正确性。
摘要由CSDN通过智能技术生成

近期项目需要做一个双脉冲输出实验,利用Verilog的PLL产生40MHz时钟信号,利用此信号产生脉宽为25ns,间隔75ns(两上升沿相隔100ns)的双脉冲,周期为20微秒,如下图:
在这里插入图片描述在这里插入图片描述
模块输入为系统时钟clk,复位rst_n,输出信号pulse_out,系统时钟40MHz,则周期T=1/40MHz=25ns.
20微秒计数:(20*10^-6)*40000000-1=799
25ns:1
100ns:4
125ns:5

`timescale 1ns / 1ps
// Module Name:    clk_div 

//产生25ns,间隔75ns的双脉冲,周期为20微秒
module clk_div(
   clk,
	rst_n,
	pulse_out

    );

// PORT declarations //==========================================
	 input clk;
	 input rst_n;
	 outpu
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值