解决QuartusII打开verilog的.v文件出现中文注释乱码的问题

问题描述:如图,用Quartusii打开.v文件中文注释出现乱码。

在这里插入图片描述
解决方法:
在文件夹中找到出现乱码的.v文件,打开方式选择记事本,发现并没用乱码,这里是编码格式不同
在这里插入图片描述
这里选择另存为,将编码格式改为UTF-8,保存即可。
在这里插入图片描述
再次用Quartus打开,发现乱码消失,问题解决。
在这里插入图片描述

  • 2
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值