Quartus PLL分频

在Quartus中实现PLL分频,输入FPGA引脚上的25MHz的时钟,配置PLL使其输出四路分别为12.5Mhz,25Mhz,50Mhz和100MHz的时钟信号。
在这里插入图片描述
选择菜单Tools–>Mega Wizard Plug-In Manager选项
在这里插入图片描述
点击next
在这里插入图片描述

点击i/o中的ALT[LL,右上角的器件选择根据自己选择,保存路径建议先在整个工程文件夹中建立ip_core—>pll文件夹,这里路劲直接手写输入。点击next。
在这里插入图片描述
根据器件的速度等级选择8,输入到该PLL的时钟频率选择25MHz。

在这里插入图片描述
在Input/Lock 页面进行设置,点击next。
在这里插入图片描述
然后在Output Clocks页面对clk c0 ,clk c1,clk c2,clk c3,分别进行频率设置
在这里插入图片描述
配置完成后,进行Summary页面设置,勾选*_inst.v文件,点击finish即可。可以在pll文件夹中打开pll_controller_inst.v文件,这是PLL IP核例化的模板.

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值