`timescale 1ns / 1ns
module test;
initial
begin
$hello;
// #10 $stop;
#10 $finish;
end
endmodule
/**********************************************************************/
文件名为hello_test.v
#include <stdlib.h> /* ANSI C standard library */
#include <stdio.h> /* ANSI C standard input/output library */
#include <stdarg.h> /* ANSI C standard arguments library */
#include "vpi_user.h" /* IEEE 1364 PLI VPI routine library */
/**********************************************************************
* calltf routine
*********************************************************************/
PLI_INT32 PLIbook_hello_calltf(P