vim语法高亮---verilog/systemverilog

原文: http://www.vim.org/scripts/script.php?script_id=1586


description
This script extends Verilog syntax highlighting, which comes along with Vim 6.3, and adds SystemVerilog stuff to it. It will recognize Verilog and SystemVerilog syntax in *.v, *.vh and *.sv files. The new syntax is named as "verilog_systemverilog". If your scripts are loaded correctly, you should see this syntax name when you execute the Vim command ":set syntax?" in your Verilog/SystemVerilog files.
 
install details
Untar the package verilog_systemverilog.tar.gz 
Copy  verilog_systemverilog/ftdetect/verilog_systemverilog.vim to your $HOME/.vim/ftdetect directory. 
Copy verilog_systemverilog/syntax/verilog_systemverilog.vim to your $HOME/.vim/syntax directory. 
Copy verilog_systemverilog/indent/* to your $HOME/.vim/indent directory.


在/user/xx/.vim/新建三个文件夹,并把相应的文件复制进去,就可以实现verilog/systemverilog语法的高亮。

如果显示不正确,可以输入命令 ;set syntax?



  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值