UVM::通过cmdline传递参数

uvm_cmdline_processor

get_arg_value(	string match, ref string value )
Function:
    get_arg_value


This function finds the first argument which matches the match arg and
returns the suffix of the argument. This is similar to the $value$plusargs
system task, but does not take a formating string. The return value is
the number of command line arguments that match the match string, and
value is the value of the first match.

使用例子:

uvm_cmdline_processor clp = uvm_cmdline_processor::get_inst();

等价于:

uvm_cmdline_processor clp;

clp=new();

program automatic test;
        import uvm_pkg::*;

        class hello_world extends uvm_test;

        uvm_cmdline_processor clp;
        int arg_value;
        string arg;

        `uvm_component_utils(hello_world);

        function new (string name, uvm_component parent);
                super.new(name, parent);
                clp=new();
                if(clp.get_arg_value("+arg_value=",this.arg)) begin 
                        this.arg_value=this.arg.atoi();
                        `uvm_info("test_arg", $sformatf("input value = %d", arg_value), UVM_DEBUG);
                end 
                else begin
                `uvm_info("test_arg", "no input arg_value", UVM_DEBUG);
                end

        endfunction

        endclass

        initial begin
                run_test();
        end

endprogram

运行:

./simv +UVM_TESTNAME=hello_world +UVM_VERBOSITY=UVM_DEBUG +arg_value=100


结果:

UVM_INFO hello.sv(19) @ 0: uvm_test_top [test_arg] input value =         100


参考:

http://www.dvteclipse.com/uvm-1.1-HTML_API/uvm_pkg-uvm_cmdline_processor.html

http://forums.accellera.org/topic/1322-uvm-cmdline-processor-get-arg-values-to-receive-hex/

  • 1
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值