FPGA 学习笔记:Vivado 2018.2 MicroBlaze 输出 Hello World

前言

  • 前面搭建好了基于Vivado 2018.2 的 MicroBlaze + Uartlite的FPGA开发环境,并且启动了Xilinx SDK,本篇研究一下 Hello Word 工程的搭建与调试方法

  • 首先这里需要实际的电路板,如FPGA开发板,并且有一个串口,如USB转串口,需要电脑上有个串口调试工具,如:sscom5.13.1.exe

新建工程

  • 导出硬件后,发现默认生成的工程,是MicroBlaze 自身硬件工程,不需要修改这个工程,重新建一个【应用工程】

  • 【File】 -> 【New】 -> 【Application Project】
    在这里插入图片描述

  • 输入工程名字,其他的默认即可

在这里插入图片描述

  • 点击【Next】下一步,这里可以选择 【Hello World】工程,使用现成的工程,这样方便

在这里插入图片描述

    • 0
      点赞
    • 9
      收藏
      觉得还不错? 一键收藏
    • 打赏
      打赏
    • 0
      评论

    “相关推荐”对你有帮助么?

    • 非常没帮助
    • 没帮助
    • 一般
    • 有帮助
    • 非常有帮助
    提交
    评论
    添加红包

    请填写红包祝福语或标题

    红包个数最小为10个

    红包金额最低5元

    当前余额3.43前往充值 >
    需支付:10.00
    成就一亿技术人!
    领取后你会自动成为博主和红包主的粉丝 规则
    hope_wisdom
    发出的红包

    打赏作者

    张世争

    你的鼓励将是我创作的最大动力

    ¥1 ¥2 ¥4 ¥6 ¥10 ¥20
    扫码支付:¥1
    获取中
    扫码支付

    您的余额不足,请更换扫码支付或充值

    打赏作者

    实付
    使用余额支付
    点击重新获取
    扫码支付
    钱包余额 0

    抵扣说明:

    1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
    2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

    余额充值