FPGA里的RAM使用

我们知道,RAM是用来在程序运行中存放随机变量的数据空间,使用时可以利用QuartusII的LPM功能实现RAM的定制。

  软件环境:QuartusII 11.0

  操作系统:win7

实现方法一、利用LPM_RAM:

1.首先准备好存储器初始化文件,即.mif文件。

  该文件的生成方法见《如何生成mif文件》;

  本文预先生成了一个正弦波的数据文件,TEST1.mif,可以在QuartusII中打开,以便查看内容:【file】/【open】,在文件类型中选择memory files,打开TEST1.mif,内容如下:

          

2.生成LPM_RAM块

  1)在QuartusII中,【tools】/【megawizard plugin manager】,打开向导,选择【memory compiler】文件夹下的RAM:这里选择单口RAM,

即:RAM:1-PORT,命名为RAM1P:

  

  2)设置存储深度为128,数据宽度为8bit、选择嵌入式M4K RAM实现、使用单时钟方案:

    

  3)取消选择“数据输出锁存”,不需要时钟使能端:

        

  4)使用mif初始化该RAM块、允许“在系统(In System)存储器读写”,并将此RAM的ID设置为RAM1:

  •   载入前面生成的存储器初始化文件:TEST1.mif;
  •     ID主要用于多RAM系统时,对不同RAM的识别,此处命名为RAM1;
  •   关于“在系统存储器读写”的含义,后续会补一片文章,专门介绍该工具的使用;

    

   经过以上设置,即可生成一个名字为RAM1P.v的文件,以后就可以对其进行例化和使用。

3. 对RAM1P.v进行例化,就可以使用,例化方法如下:

复制代码
module TEST(
    input     [6:0]  address,
    input                clock,
    input     [7:0]  data,
    input                wren,
    output [7:0]   q
);

RAM1P    RAM1P_inst (
    .address ( address ),
    .clock ( clock ),
    .data ( data ),
    .wren ( wren ),
    .q ( q )
    );

endmodule
复制代码

推荐使用verilog文本的方式进行例化,博主十分不赞成用原理图的方式来例化各个模块。

生成的RTL图:

    

 

4.对该RAM块进行仿真,以便了解端口的特性:

    

  • 由于使用的时钟方案为单时钟(single clock),因此无论wren=0还是1,Q都输出address指定的地址中的数据;可以从verilog描述中看出这是利用assign语句实现的(verilog代码见下文)。
  • wren=1时,将数据输入端data的数据写入到address指定的存储单元内。

  输出的数据依次为0x80,0x86,0x8c,0x92……,对比前文所显示的mif文件内容,可以验证mif文件已经成功导入;

  而接下来输出的数据0x0c、0x0d、0x0c、0x0c,是在wren=1期间,由数据输入端data写入到地址04、05、06、07中的数据;

  接下来继续输出0xb0、0xb6……,则仍然为mif中对应地址的初始化数据。

 

说明:在编译过程中,如果使用cycloneII器件,可能会出现错误“Error: M4K memory block WYSIWYG primitive……”,解决办法为:

【ASSIGNMENTS 】/【 SETTING】,找到如下位置,在name中输入“CYCLONEII_SAFE_WRITE”,在DEFAULT SETTING中输入“VERIFIED_SAFE”;

然后点击add按钮:

  

 

方法二、使用verilog纯文本的描述方式:

  生成同样功能的RAM块,代码如下:

复制代码
module RAM1P(
    input     [6:0]  address,
    input            clock,
    input     [7:0]  data,
    input            wren,
    output    [7:0]  q
);

(*  ram_init_file = "TEST1.mif " *)  reg [7:0] mem[127:0];

always@(posedge clock)
    if(wren) mem[address] <= data;  /*在时钟的上升沿写入数据*/
    
assign q = mem[address]; 
endmodule
复制代码

注意此时mif文件载入RAM的方法,是利用文本描述的方式实现的,此种方式有一个缺点,就是不能在modelsim中进行仿真:

  (*  ram_init_file = "TEST1.mif " *)  reg [7:0] mem[127:0];

 

对比两种方法的优缺点:

  经过QuartusII的编译报告可以看出,方法2比方法1相比,占用了很多的LE,同时还使用了1024个register,故方法2是十分不经济的,这里给出只是提供一个参考,便于理解LPM_RAM的工作方式,平时应用时,建议使用方法1来构建RAM。

  

    

转自:http://www.cnblogs.com/BitArt/archive/2012/12/13/2816078.html

  • 7
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
LPM_ROM和LPM_RAM设计 一 实验目的 掌握FPGALPM_ROM的设置: 1 作为只读寄存器ROM的工作特性和配置方法; 2 学习将程序代码或数据以MIF格式文件加载于LPM_ROM; 掌握lpm_ram_dp的参数设置和使用方法: 1 掌握lpm_ram_dp作为随即存储器RAM的设置; 2 掌握lpm_ram_dp的工作特性和读写方法; 3 掌握lpm_ram_dp的仿真测试方法。 二 实验要求 1 LPM_ROM定制和测试 LPM_ROM的参数设置: LPM_ROM数据的写入,即初始化文件的编写; LPM_ROM的实际应用,在GW48实验台上用N0.0电路模式测试。 2 LPM_RAM定制和测试 LPM_RAM的参数设置; LPM_RAM的实际应用,在GW48实验台上用N0.0电路模式测试。 三 实验原理 用户可编程硬件FPGA芯片设计,有许多可调用参数化库模块LPM(Library Parameterized Modules),课直接调用设置,利用嵌入式阵列块EAB(Embed Array Block)构成lpm_ROM,lpm_RAM等各种存储器结构。 Lpm_ROM有5组信号: 地执信号address[]; 数据信号q[]; 时钟信号inclock、outclock; 允许信号memenable. 其参数是可以设定的。由于ROM是只读寄存器,它的数据口试单向的输出端口,数据是在对FPGA现场配置时,通过配置文件一起写入存储单元的。 Lpm_ram_dq的输入/输出信号如下: 地址信号 address[]; RAM_dqo的存储单元地址; 数据输入信号DATA[] RAM_dqo的数据输入端; 数据输出信号Q[]; RAM_dqo的数据输出端; 时钟信号CLK; 读/写时钟脉冲信号; 读写信号W/R 读/写控制信号端 数据从总线端口DATA[]输入。丹输入数据和地址准备好以后,由于在inclock上的信号是地址锁存时钟,当信号上升沿到来时,地址被锁存,于是数据被写入存储单元。数据的读出控制是从A[]输入存储单元地址,在CLK信号上升沿到来时,该单元数据从Q[]输出。W/R为读/写控制端,低电平时进行读操作,高电平时进行写操作; 四 实验步骤

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值