UVM:6.8.1 随机选择sequence

177 篇文章 141 订阅

1.sequence library 就是一系列sequence 的集合。原型为:



1)派生自sequence,本质是一个sequence。在body 中执行这些sequence。


2.sequence library 如下:



1)指明transaction 类型,与普通的sequence 相同。

2)new 时要调用init_sequence_library,否则内部队列是空的。

3)调用uvm_sequence_library_utils注册。


3.一个sequence 在调用时使用宏uvm_add_to_seq_lib 将其加到某个sequence library 中:


1)uvm_add_to_seq_lib 有两个参数,第一个是sequence 名字,第一个是要加入的 sequencelibrary  的名字。

2)一个sequence 可以加入多个不同的sequence library中:


3)也可以多个sequence加入到同一个sequence library中。


4.将 sequence library 作为sequencer 的default sequence:


1)uvm 会随机选择sequence,并顺序启动。(没看出来呢?)

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值