第四次实验:quartus + modelsim 联合仿真

本文详细介绍了如何使用Quartus和Modelsim进行4位加法器的门级建模及Verilog仿真,步骤包括新建项目、代码编写、编译、测试和调试全过程,并分享了实验视频教程。通过实践掌握波形图调试技巧。
摘要由CSDN通过智能技术生成

第四次实验:quartus + modelsim 联合仿真

1.实验目的:

学习4位加法器的门级建模和Verilog Modelsim仿真

2.实验工具:

电脑和Quarturs软件和modlsim软件。

3.实验过程:

第一步:打开Quartyrs。
第二步:新建“Hew Project Wizard”。
第三步:将代码输入到“Venliog HDL Flie”。
第四步:保存。
第五步:编译。
第六步:生成测试文件。
第七步:进行仿真。
第八步:进行调试。

4.实验截图

新建工程
输入代码
生成测试文件
更改测试文件
添加测试文件
准备仿真
生成波形图

5.实验视频链接

quartus + modelsim联合仿真

链接:https://www.bilibili.com/video/BV17p4y1472W/

6.实验总结

(1)通过本次实验学会如何调试波形图
(2)学会了4位加法器的门级建模和Verilog Modelsim仿真

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值