FPGA 3-8译码器

模块文件代码:

module decoder3_8(a,b,c,dout);
	
	input a,b,c;
	output [7:0] dout;
	
	reg [7:0] dout;
	
	always@(a,b,c)
		begin
			case( {a,b,c} )
				3'b000:	dout=8'b0000_0001;
				3'b001:	dout=8'b0000_0010;
				3'b010:	dout=8'b0000_0100;
				3'b011:	dout=8'b0000_1000;
				3'b100:	dout=8'b0001_0000;
				3'b101:	dout=8'b0010_0000;
				3'b110:	dout=8'b0100_0000;
				3'b111:	dout=8'b1000_0000;
			endcase
		end
	
endmodule 

testbench代码:

`timescale 1ns/1ns

module decoder3_8_tb;

	reg signal_a,signal_b,signal_c;
	wire [7:0] dout;
	
	decoder3_8 u1
	(
	.a(signal_a),
	.b(signal_b),
	.c(signal_c),
	.dout(dout)
	);
	
	initial 
		begin
			signal_a=0;signal_b=0;signal_c=0;
			#100;
			signal_a=0;signal_b=0;signal_c=1;
			#100;
			signal_a=0;signal_b=1;signal_c=0;
			#100;
			signal_a=0;signal_b=1;signal_c=1;
			#100;
			signal_a=1;signal_b=0;signal_c=0;
			#100;
			signal_a=1;signal_b=0;signal_c=1;
			#100;
			signal_a=1;signal_b=1;signal_c=0;
			#100;
			signal_a=1;signal_b=1;signal_c=1;
			#200;
			$stop;
		end
	
endmodule 

RTL仿真波形:


  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值