FPGA开发仿真流程-三八译码器的实现

这篇博客介绍了如何在FPGA开发环境中使用Verilog实现三八译码器,并详细阐述了从代码编辑、编译到仿真测试的完整流程,包括Testbench模块的编写和后仿真分析。在仿真过程中,作者注意到时序逻辑设计中的一些问题,强调了同步的重要性。
摘要由CSDN通过智能技术生成

FPGA开发仿真流程-三八译码器的实现


3-8译码器真值表
输入输出

  1. 首先建立工程保存至prj
  2. 新建verilog文件保存至rtl
  3. 编辑代码
    3.1 定义模块名(端口列表)
    3.2 定义端口性质
    3.3 逻辑编辑
    下面展示 逻辑模块
3_8译码器逻辑模块
// 3_8译码器的实现
module  my3_8(a,b,c,out); //module  表示模块开头,紧跟的my3_8为模块名,a,b,c,out为端口列表
 input a; //定义端口属性为输入
  input b;
  input c;
  
    output reg [70]out; //定义了一个多位位宽的信号
always@(a,b,c) begin  //always里面为敏感信号,当信号发生变化时,就会执行always块里面的内容
case({
   a,b,c}) //{}里面表示位拼接,此句表示abc的拼接选择情况
 	3'b000:out=8'b0000_0001;  //3表示信号位宽  _不代表有任何实质性内容
 	3'b001:out=8'b0000_0010;   //在always块中赋值的
  • 3
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值