自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Yuanzhi的博客

嵌入式 物联网 单片机 Python C Qt 学生狗

  • 博客(15)
  • 收藏
  • 关注

原创 Verilator初探

Verilator是一个非常快速的仿真工具,它使用C/C++编写Testbench并运行Verilog模型。

2022-11-03 15:07:07 487 1

原创 CRC生成算法入门到实战:从原理到Verilog

CRC即循环冗余校验码是数据通信领域中最常用的一种查错校验码,其特征是信息字段和校验字段的长度可以任意选定。循环冗余检查(CRC)是一种数据传输检错功能,对数据进行多项式计算,并将得到的结果附在帧的后面,接收设备也执行类似的算法,以保证数据传输的正确性和完整性。CRC的算法在数学和通信上已经有过很多的学者对其研究,本文引用了参考文章1的方式对CRC的原理进行解读,这篇文章对于算法的解读浅显易懂,但也在性能方面浅尝辄止,不过对于应用来说戳戳有余,方便工程师快速对CRC算法理解并入门。......

2022-07-27 17:03:15 1270

原创 【随机信号处理笔记】 Chapter7 恒虚警检测

随机信号处理笔记系列

2022-07-01 17:43:02 1578 1

原创 【随机信号处理笔记】 Chapter6 信号估计

随机信号处理笔记系列

2022-07-01 17:40:52 632

原创 【随机信号处理笔记】 Chapter5 复合假设检验

随机信号处理系列笔记

2022-07-01 17:36:40 679

原创 【随机信号处理笔记】 Chapter4 信号检测

随机信号处理笔记系列

2022-07-01 17:32:02 664

原创 【随机信号处理笔记】 Chapter3 随机信号分析

随机信号处理笔记系列

2022-07-01 17:10:52 1194

原创 【随机信号处理笔记】 Chapter2 随机变量

随机信号处理笔记系列

2022-07-01 17:06:10 296

原创 【随机信号处理笔记】 Chapter1 绪论

随机信号处理笔记系列

2022-07-01 17:00:18 253

原创 线性调频信号(chirp signal)

线性调频信号的理论推导和仿真

2022-06-15 09:57:39 4753

原创 Vivado打开Vscode文件无法保存

现象从vscode1.66版本开始,通过Vivado打开文件后,Vscode变的及其卡顿,文件无法保存,打开任务管理器,显示相应进程占用磁盘维持在8M/s,关闭Vscode显示:关闭窗口需要更多时间,原因不明。可以通过安装Vscode老版本解决问题,安装后应及时在设置中关闭Vscode程序自动更新:在设置中搜索更新。解决方法Vscode1.64:January 2022 (version 1.64)Vscode各个版本可以在左侧调整下载。...

2022-04-21 17:45:10 1662 4

转载 阻塞与非阻塞

阻塞赋值与非阻塞赋值:1.符号阻塞赋值“=”(组合逻辑电路),非阻塞赋值“<=”(时序逻辑电路);2.Verilog模块编程的8个原则:(1) 时序电路建模时,用非阻塞赋值。(2) 锁存器电路建模时,用非阻塞赋值。(3) 用always块建立组合逻辑模型时,用阻塞赋值。(4) 在同一个always块中建立时序和组合逻辑电路时,用非阻塞赋值。(5) 在同一个always块中不要既用非阻塞赋值又用阻塞赋值。(6) 不要在一个以上的always块中为同一个变量赋值。(7) 用$strob

2021-07-30 16:01:08 1245

原创 Matlab图像的运算及其作用

1.加法常用于图像叠加、亮度调节、添加噪声等I=imread('rice.png'); %读入灰度图像rice,赋值给IJ=imread('cameraman.tif'); %读入灰度图像cameraman,赋值给JQ=imread('flower.tif'); %读入RGB图像flower%图像叠加K1=imadd(I,J);K2=I+J;%改变亮度K3=imadd(Q,30);K4=Q+30;用于改变噪声时,使用函数imnoise():I=imread('

2021-01-08 20:24:35 2227

原创 Matlab图像处理工具箱使用

Matlab图像处理工具箱1.图像类型及其转换2.图像类型的转换rgb2gray : 输入输出数据类型一致[X,map] = rgb2ind(I,tol) : 均匀量化法,tol取[0.0,1.0]。[X,map] = rgb2ind(I,N) : 最小方差量化法,N为map中最少包含的颜色。X = rgb2ind(I,map) : 颜色匹配法,将rgb中颜色与map中颜色进行匹配后生成索引色,最终索引图像矩阵为X和map(colorcube()可以用于生成map)3.图像文

2021-01-05 19:58:56 1870 2

原创 MATLAB函数结构

1.函数文件结构Matlab:函数与脚本均为*.m文件,以function开头的文件一般为函数文件,否则是脚本文件。函数文件以第一个函数为函数文件的主函数,外部文件只能调用主函数。一般要求函数名与函数文件名同名,外部文件和脚本通过函数文件名寻找函数。外部文件调用时,只在当前目录下寻找函数,如果需要其他文件夹下的函数,通过addpath()命令添加路径。脚本文件内部可以写函数。2.函数用法函数需要声明输入与输出变量。函数结构为:function [outputArg1,outputArg2]

2021-01-05 00:00:10 2221

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除