四、FPGA之序列信号发生器

本次试验是序列信号发生器,本次要产生的序列是00011101序列,关于序列信号发生器的原理这里就不说了,网上有很多资料。下面给出序列信号发生器的一种设计源代码。

module xulie(clk,rst_n,out);
           input clk;
           input rst_n;
           output out;
           
           reg out;
           
           parameter S0=3'd0,S1=3'd1,S2=3'd2,S3=3'd3,S4=3'd4,S5=3'd5,S6=3'd6,S7=3'd7;
           
           reg[2:0]current_state,next_state;
           
           
           always@(posedge clk or negedge rst_n)
               if(rst_n==1'b0)
                  current_state<=S0;
               else
                  current_state<=next_state;
                  
           always@(*)
               begin
                   case(current_state)
                            S0:
                               ne

  • 2
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值