Design Compiler(DC)中关于delay的设置心得

       今天在使用DC设置随路时钟的时候发现里两个比较容易混淆的设置:max_delay/min_delay和input_delay/output_delay。

1)max_delay/min_delay设置指定路径的最大延迟和最小延迟。

   如果电路完全是有组合逻辑电路构成的,可以直接使用这两条命令设置延迟。例如,限制一个门控时钟的控制信号ctrl:

   set_max_delay 5 -from ctrl

   以上命令限制了所有ctrl输入的路径的最大延迟;

   set_min_delay 5 -from in -to out

   以上命令限制里从in到out的最小延迟为5个单位时间。

2)input_delay/output_delay设置信号在从片外传送到片内/片内传到片外所用的时间。例如,

   set_input_delay 0.5 [remove_from_collections [all_inputs] $clk_list]

   在所有的输入端除时间信号输入端以外设置0.5个单位的输入延迟,也就是说,在片内只有0.5个周期可以有效的读取到信号的值。因此,信号的建立时间必须小于0.5个周期。

3)当对含有时钟信号的路径设置max_delay/min_delay的时候,系统会直接判断为时序路径,在生成的.rpt中会将max_delay/mindelay考虑为data required time/data arrived time,分别对应与时序中的setup/hold time。

  • 3
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值