MSK调制解调的技术文档

参数设定:

         主时钟clk=16MHz,数据速率Rb=1Mbps,中心载波Fc=3Mhz,传号载波fL=2.75Mhz,fH=3.25MHz,调制度h=(fH-fL)*Rb;NCO的相位累加字宽度N=32,环路宽度Bloop=28;K=1.1781,Wn=150Khz,Tdds=12/fs;T=1/16M;

  1. 信源产生

信源采用的PN伪随机序列,周期为4095,采用12bit寄存器+反馈构成,速率为1Mbps,采用1MHz的时钟生成。参照《基于VerilogHDL的通信系统设计—陈曦》P184页。

  1. MSK调制模块整体说明

整体MSK调制的框图参见《数字调制解调技术的MATLAB与FPGA实现---杜勇》P234页。

需要进行单比特的差分编码,串并转换,I路延迟1Mbps的码片(16clk)。同时还有加权波0.25M和载波3M的计算。

  1. MSK解调模块整体说明

首先要实现单路平方环,用于从输入信号当中提取载波信号,框图如下:

以上是采用的一种改进的方式,鉴相2

,整体的鉴相能力减半。

 

 环路滤波分两路走(计算用组合电路几乎不用时间):

仿真与实战图片

上述为调制前和解调后的结果,可见通过调制解调回环,数据进行了还原。

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
MSK(Minimum Shift Keying)解调是一种调制解调技术,常用于无线通信系统中。而FPGA(Field-Programmable Gate Array)是一种可编程逻辑器件,通常用作数字电路的设计和实现。在MSK解调中,FPGA可以用于实现解调算法和处理信号。 MSK信号的解调过程可以在FPGA中进行。首先,FPGA接收MSK调制信号,并通过数字滤波器对信号进行预处理,滤除不必要的频谱分量。接着,FPGA使用相关器技术来检测信号的相位变化,以恢复其原始数据。 为了实现MSK解调算法,首先需要将相关器电路(Correlator)实现在FPGA中。相关器用于提取两个信号之间的相位差。在FPGA中,我们可以将相关器实现为一系列逻辑门的组合,以完成相位检测的功能。 此外,FPGA还可以用于实现其他的数字信号处理功能,如数字滤波器、时钟同步等。通过在FPGA中编程和配置,可以灵活地调整算法和参数,以满足不同的解调要求。 在设计和实现MSK解调的过程中,需要了解并掌握FPGA的开发工具和编程语言,如VHDL或Verilog。同时,需要对MSK调制和解调的原理有一定的了解,以能够正确地实现解调算法和处理信号。 总之,MSK解调可以通过使用FPGA来实现。FPGA可以用于实现解调算法、数字滤波器和其他数字信号处理功能。通过灵活的配置和编程,可以满足不同的解调要求,并实现高效可靠的MSK解调系统。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值