quartusII中用Verilog实现移位的几种方式

在Verilog中实现一个去除直流的模块,其中输入的数据,分两路:

一路进入4096的延迟连,进行延迟;

另一路进入4096点的求和,右移除以4096的取平均值模块;

最后将延迟后的输入点,减去均值,即为去除直流后的值。

延迟方法(1):

采用<=赋值的方式,一行赋值一个点,需要的行数特别多

延迟方法(2):

采用integer配合FOR语句,行数比较少,但是integer不能综合,只能用来仿真。

延迟方法(3)

采用一维寄存器,d{1000:0}<={d[999:0],din};对于din为多位的时候,也可以这样做;行数少,可以综合。



  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值