2014-3-16 FPGA学习 二进制转8421BCD码

这篇博客介绍了如何使用FPGA进行二进制到8421BCD码的转换,主要目的是为了实现模块化的数码管显示。博主分享了一个通过不断左移和判断数值来转换的算法,并提供了相应的Verilog代码实现。
摘要由CSDN通过智能技术生成

今天去农场摘草莓了,30块钱吃到饱,还是蛮爽的。

学这个转码的目的就是为了写模块化的数码管显示,以后能直接调用。这个算法也是从网上看来的。

一个8bit的二进制最多会转换成3个BCD码。

先将二进制数左移1bit,然后判断低4位和高四位是否大于4,大于4则+3,然后继续左移,继续判断,条件满足的话接着+3,第八次左移后不再判断,直接得到bcd码.

上代码。

module btobcd (
clk, //50Mhz
rst_n,
data, //输入的8bit二进制
bcd,
lacked
);


input clk;
input rst_n;
input[7:0]

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
要实现FPGA上的二进制BCD码功能,可以按照以下步骤进行: 1. 首先,需要设计一个模块接收输入的11位有符号二进制数。可以使用一个11位的寄存器来存储输入数据,并通过一个有效信号(bin_vld)来控制数据的输入。 2. 接下来,需要将输入的二进制数进行符号扩展,将其扩展为17位的有符号数。可以使用一个6位的符号扩展模块来实现,将输入的11位二进制数的最高位复制到扩展后的17位数的高位。 3. 然后,使用一个BCD编码器将扩展后的17位有符号数换为BCD码。BCD编码器可以使用查找表或者组合逻辑电路来实现,将每个4位二进制数映射到对应的BCD码。 4. 最后,输出换好的BCD码数据并同步输出有效信号(bcd_vld)。可以使用一个寄存器来存储换后的BCD码,并通过一个输出有效信号来控制数据的输出。 这样就可以实现FPGA上的二进制BCD码功能了。根据具体的需求和性能要求,可以进行相应的优化和调整。<span class="em">1</span> #### 引用[.reference_title] - *1* [FPGA实现二进制BCD码换](https://blog.csdn.net/weixin_45451974/article/details/129917059)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值