基于xilinx vivado的XADC IP设置使用详解

基于xilinx vivado的XADC IP设置使用详解

目录

  • 1 XADC概述
  • 2 引用
  • 3 XADC 端口
  • 4 XADC IP设置
  • 5 IP的例程代码的使用
  • 6 Chanel输出值的含义即寄存器输出含义
  • 7 采集外部信号时需要的注意事项

1 XADC概述

Xilinx 7系列FPGA全系内置了一个ADC,称呼为XADC。

这个XADC,内部是两个1mbps的ADC,可以采集模拟信号转为数字信号送给FPGA内部使用。

XADC内部可以直接获取芯片结温和FPGA的若干供电电压(7系列不包括VCCO),用于监控FPGA内部状况。同时提供了17对差分管脚,其中一对专用的模拟差分输入,16对复用的模拟差分输入,不使用的时候可以作为普通的User I/O。

在这里插入图片描述

2 引用

《pg091》

《ug480》

3 XADC 端口

XADC的端口如下图所示,其每个端口的具体含义请参考ug480的p19~p20.

在这里插入图片描述

4 XADC IP设置

IP的详细设置,大家可参考pg091。

本例主要是拿XADC来采集FPGA的温度以及电压,不做外部采集,但设置同理,后面会说外部采集时的注意事项。

在这里插入图片描述

图 1 XADC第一页基础设置

第一页如上图主要是设置:

Interface option,常规情况下纯逻辑时一般选DRP,有软核参与时选AXI4Lite;

Startup channel selection ,开始通道选择,一般选通道顺序即可;

DRP timing options,设置的是XADC的时钟,一版默认就行了,最大250MHZ。

在这里插入图片描述

图 2 XADC第2页设置

第2页默认设置即可。

在这里插入图片描述

图 3 XADC第3页

XADC第3页主要是设置警报阈值,可要可不要,当设置阈值后,FPGA内部参数达到阈值后会产生警告输出,通过alarm_out信号引脚。

警告对应的寄存器以及含义如下表所示。

在这里插入图片描述

在这里插入图片描述

图 4 XADC设置第4页

第4页设置是选择通道需要输出的采样种类,这里主要是采集温度和电压,就勾选了以上内容。

5 IP的例程代码的使用

按第三章创建好IP后,打开例程代码,如下图所示:

在这里插入图片描述

图 5 例程代码的打开方式

之后看到代码的顶层只是同步了一个复位信号,如下所示:

在这里插入图片描述

光只是打开顶层只有复位信息以及这个ip的调用,现在还不知道怎么用,则下一步打开仿真文件顶层(xadc_wiz_0_tb)。

然后则看到将CHANNEL补位后赋值给地址ADDR,然后就能通过判断CHANNEL的值来确定现在输出的DO_TB是什么类型的值。如下所示:

在这里插入图片描述

在这里插入图片描述

至于取值为啥是DO_TB[15:4]是因为XADC是12bit的,且高位有效,根据ug480可知,如下所示。

在这里插入图片描述

另外需要注意,从DO读出来的值需要经过公式换算才是实际的值,换算规则如下所示:

在这里插入图片描述

在这里插入图片描述

6 Chanel输出值的含义即寄存器输出含义

Channel输出的值为各个采集信息对应的寄存器的地址,其含义如下所示。

在这里插入图片描述

即状态寄存器的值,如下表所示:

在这里插入图片描述

在这里插入图片描述

7 采集外部信号时需要的注意事项

当采集外部信号时,需要注意外部输入的模拟信号对接的时vp/vn引脚,此外输入的信号电平范围是0v-1v。如下所示:

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

  • 9
    点赞
  • 53
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论
UG480是Xilinx 7系列FPGA的用户指南,其中的XADC是用于模拟信号转换的外设模块。测试UG480_7series_XADC需要以下步骤: 1. 准备测试平台:首先,您需要准备一块支持7系列FPGA的开发板,例如Xilinx的Zynq-7000系列开发板。确保您已经成功安装了Vivado开发套件,并且设备正确连接和供电。 2. 打开Vivado开发工具:使用Vivado打开一个新的项目或加载现有的项目,确保已经选择了正确的7系列FPGA设备。 3. 添加XADC模块:打开设计工程,在设计视图中添加一个XADC模块。可以通过点击“添加IP”按钮并搜索“XADC”,然后将其添加到设计中。 4. 配置XADC模块:打开XADC模块的配置界面,选择适当的通道和采样率,并进行其他相关设置。 5. 连接和引脚分配:使用Vivado工具进行引脚约束和连接,将XADC模块的输入引脚与所需的信号连接起来。 6. 合成和实现:在Vivado工具中对设计进行综合和实现,以生成位流文件。 7. 下载到开发板:将位流文件下载到目标开发板中,确保设备正确连接和供电。 8. 执行测试:执行所需的测试流程,可以使用Vivado提供的调试工具进行波形查看和验证。 通过以上步骤,您可以测试UG480_7series_XADC并验证其功能是否按照预期工作。请注意,在测试过程中,您可能需要参考UG480指南的具体章节,以了解有关配置和使用XADC模块的更多详细信息。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

风中月隐

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值