第12篇:4线-2线普通编码器

本文介绍了如何在数字系统中使用4线-2线普通编码器,通过二进制编码将4个输入中的单个高电平信号转换为对应的二进制输出。以Verilog语言为例,展示了如何用过程结构always表示编码逻辑,并在DE2-115开发板上实现LED显示输出。
摘要由CSDN通过智能技术生成

Q:在数字系统中,用一个二进制代码表示特定信息称为编码,而具有编码功能的逻辑电路就称为编码器。本期我们学习实现4线-2线普通编码器。

A:基本原理:二进制编码器有n位输出,与2^{n}个输入相对应。4线-2线普通编码器的4个输入为高电平有效信号,输出是二进制代码,任何时刻4个输入中只有一个取值为1,并且有一组对应的二进制码输出。4线-2线普通编码器的真值表:

image-20230915102443599

用Verilog过程结构always表示部分代码:

image-20230915102831236

使用DE2-115开发板的SW[3:0]作为输入I,LEDR[1:0]显示Y的输出值,在顶层.v文件中例化4线-2线普通编码器。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值