Anlogic TD &Modelsim联合仿真

仿真库编译

在modelsim安装目录建立个库文件,如下所示:

打开Modelsim启动

 选择File->Change Directory切换路径,转到Library_For_Anlogic,在Modelsim菜单中File->New-Library

 Compile,选择AL下面的所有文件,并Compile

编译完成后,AL3编译完成后,将EG系列,EF2系列,ELF系列库全部编译进来

 

编译完成后,如下所示:

更改Modelsim安装目录下modelsim.ini文件只读属性->可读写,在其加入:

 

 保存后,恢复其只读属性。

tb文件编写

建立相关工程:tb_demo.v文件编写

`timescale 1ns / 1ps
module tb_demo;
reg  fpga_clk_in;
reg  fpga_rst_n ;
 
FPGA_Demo U_FPGA_Demo(
                      .fpga_clk_in(fpga_clk_in),
                      .fpga_rst_n (fpga_rst_n ),
                      .hw_led     (           ),
                      .sw_led     (           )
                      );
initial 
begin
    fpga_clk_in= 1'b0;
    fpga_rst_n = 1'b0;
    #1000
    fpga_rst_n = 1'b1; 
end
glbl glbl();
always #(100/2) fpga_clk_in <= ~fpga_clk_in;
endmodule 

tb_demo.do文件编写

vlib work 
vlog "tb_demo.v"  
vlog "../al_ip/sys_pll_sim.v"
vlog "../al_ip/my_bram256k_sim.v"
vlog "../src/bram_itfc.v"
vlog "../src/FPGA_Demo.v"
vsim -voptargs="+acc" -L ef2_ver -gui work.tb_demo
log -r /*

F:\Anlogic_MCU\Demo\FPGA\FPGA_Bram\sim

打开Modelsim,

 

 前仿真

打开Modelsim,切换路径,运行下述命令

cd {F:\Anlogic_MCU\Demo\FPGA\FPGA_Bram\sim}
do tb_demo.do
run *us

 

后仿真

产生SDF文件,及其后仿真网表,TD配置如下:

 

 

SDF文件,部分罗列如下:

*_phy_sim.v文件,部分罗列如下:

tb_.do脚本文件如下

 波形窗口如下

 

最新版本的ModelSimModelSim 2020.4. 若要使用最新版本的ModelSim,你需要下载MentorKG.exe和patch_dll.bat两个文件,并将它们与ModelSim安装目录下的win32或win64文件夹一起复制过去。完成配置后,你可以选择Assignment,点击Setting,然后选择Tool,点击Run Simulation Tool中的RTL Simulation来打开ModelSim并进行仿真。如果需要在ModelSim中进行安路仿真,你需要在ModelSim安装目录下创建一个Anlogic文件夹,并在其中新建一个Src文件夹,将TD软件安装路径中的sim_release文件夹中所有器件的仿真模型复制到Src文件夹中。然后,你可以新建一个相应芯片的仿真库并进行仿真。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Modelsim10.4安装](https://blog.csdn.net/weixin_74263024/article/details/130255138)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [FPGA相关笔记_1:安装Quartus ii 20版本步骤以及Modelsim SE 10版本配置步骤](https://blog.csdn.net/qq_16042905/article/details/112855459)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [国产安路FPGA(二)-TD软件仿真Modelsim)](https://blog.csdn.net/qq_39995383/article/details/126480613)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值