readmemh函数引用的txt格式_memory - 在Verilog中,我尝试使用$ readmemb来读取.txt文件,但它仅在内存中加载xxxxx(不必担心) - 堆栈内存溢出...

您确定要运行模拟吗?

您的TB代码:

module RAM_IN (pix_val, indx);

input [0:5] indx;

output [31:0] pix_val;

reg [31:0] pix_val;

reg [31:0] in_ram [0:4];

always @ (indx)

pix_val = in_ram [indx];

initial

begin

$readmemb("in_ram.txt", in_ram);

end

endmodule

module tb;

reg [0:5] indx;

wire [31:0] pix_val;

RAM_IN ram_in(pix_val, indx);

initial

begin

indx = 'b0;

$monitor ($realtime, " Read Data = %0b" ,pix_val);

repeat(4)

begin

#10;

indx = indx + 1'd1;

end

$finish;

end

endmodule

使用相同的in_ram.txt。

Questasim:

QuestaSim-64 qverilog 10.4 Compiler 2014.12 Dec 2 2014

Start time: 18:27:01 on May 10,2016

qverilog m

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值