ILA用法

 

Ila在使用过程中Capture mode可选,

write_hw_ila_data

把从ILA中读出的数据写入文件中。

Syntax

        write_hw_ila_data [-force] [-csv_file] [-vcd_file] [-quiet]

 

         [-force]           覆盖已经存在的文件

         [-csv_file]         导出CSV格式文件

         [-vcd_file]         导出VCD格式文件

         [-quiet]       Ignore command errors

         [-verbose]       Suspend message limits during command execution file   hardware ILA data file name

         [hw_ila_data]    hardware ILA data object Default: Current hardware ILA data       

 [-verbose] file [hw_ila_data] [hw_ila_data]

 

Exmaple:

run_hw_ila hw_ila_1

write_hw_ila_data -force -csv_file  F:/design_ila_data.csv

 [current_hw_ila_data]

 

current_hw_ila_data

        Get or set the current hardware ILA data.

        Syntax

        current_hw_ila_data [-quiet] [-verbose] [hw_ila_data]

 

Example:

current_hw_ila_data  hw_ila_data_1

current_hw_ila hw_ila_2

注意以上两个的用法

转载于:https://www.cnblogs.com/zhongguo135/p/5579440.html

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
ILA (Integrated Logic Analyzer) 是 Vivado 工具的一个功能,用于对 FPGA 设计进行调试和分析。通过使用 ILA,可以在 FPGA 运行时实时监测和捕获信号,并将其显示在 Vivado 的波形窗口中,以便分析和调试设计。ILA 的设置和控制可以通过 Vivado 的 GUI 或者通过在 TCL Console 中输入命令来完成。 要在 Vivado 的 TCL Console 中使用 ILA,可以输入命令 "reset_project" 将工程复位到 ready 状态。这个命令可以重新初始化 ILA,并将其状态设置为可用状态。 如果你想了解更多关于 Vivado ILA 的详细信息,你可以参考官方文档或者观看相关视频教程。在 Bilibili 上有一些视频教程可以提供给你参考,其中一个示例链接如下: 在 Vivado 的 ILA 界面中,你会看到一些按钮和状态栏,它们用于控制和显示 ILA 的运行状态。例如,按钮① 用于设置采样执行过程为循环采样,按钮② 用于启动采样,按钮③ 用于无条件执行采样,按钮④ 用于停止采样。状态栏⑤ 显示 ILA 的运行状态,状态栏⑥ 显示已采集的点数占总采集点数的关系,状态栏⑦ 以进度条的方式显示当前状态和采样过程的执行状态百分比。 以上是关于 ILA 在 Vivado 中的简要介绍和使用方法。希望对你有所帮助。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [vivado中ila的使用方法记录](https://blog.csdn.net/xzs520xzs/article/details/131097291)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值