基于verilog状态机的交通灯演示

设计要求:设计一个简易的交通灯(系统时钟1hz共阴极)。南北方向为主干道(L3~L1),绿灯时间为29s;东西方向为次干道(L6~L4),绿灯时间为19s;在一个方向从红灯转绿灯前3s,另一个方向黄灯亮3s。

                      S1      S2     S3     S4     S1
  主干道           红灯    红灯    绿灯   黄灯   红灯
 次干道            绿灯    黄灯    红灯   红灯   绿灯
                     19S     3S     29S    3S   19S


module traffic(clk,rst_n,led);
input clk;
input rst_n;
output [5:0] led;

reg [5:0] led;
reg [4:0] time_left;
reg [1:0] state;

parameter [1:0] S1 = 2'b00,
                S2 = 2'b01,
        S3 = 2'b11,
        S4 = 2'b10;
        
    clk_div CD(
        .clk(clk), 
        .clkout(clkout)
            ); //分频模块    
    always @(posedge clk or negedge rst_
  • 2
    点赞
  • 50
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值