用verilog中状态机写交通灯

用verilog状态机写交通灯
//交通信号灯的控制程序
//A)红灯亮20s后黄灯亮,黄灯亮10s后绿灯亮,绿灯亮20s后黄灯亮,黄灯亮10s后红灯亮,如此循环。
//B)以led0作为红灯,led1作为黄灯,led2作为绿灯。

module  traffic_lights(
input         wire                clk,
input         wire                 rst,
output       reg   [7:0]       led
);

reg      [25:0] cnt;
localparam     T=50_000_000;

reg   [2:0] cstate;
localparam    led0=0;
localparam    led1=1;
localparam    led2=2;
localparam    led3=3;

reg   [4:0]  t=0;        //定义时间
always@(posedge clk or posedge rst) begin
if(rst)
	cnt<=0;
else if(cnt==T-1)
	cnt<=0;
else
	cnt<=cnt+1;
end

always@(posedge clk or posedge rst) begin
if(rst)	begin
	led<=8'b0000_0000;
	cstate<=0;
end
else
		case(cstate)
		         led0         :           begin
												  led<= 8'b1010_1010;           // 红灯亮
															if(t==19) begin           
													              cstate<=led1;
																  t<=0;
				                                             end
												            else  if(cnt==T-1)
																   t<=t+1;
															else
															       cstate<=led0;
																        
				                               end
		         led1         :           begin
												  led<= 8'b1111_1111;           //黄灯亮
															if (t==9) begin
													              cstate<=led2;
																  t<=0;
				                                             end
												            else  if(cnt==T-1)
																   t<=t+1;
															else
															       cstate<=led1;	        
				                               end
		         led2         :           begin
												  led<= 8'b0101_0101;          //绿灯亮
															if (t==19) begin
													              cstate<=led3;
																  t<=0;
				                                             end
												            else  if(cnt==T-1)
																   t<=t+1;
															else
															       cstate<=led2;	        
				                               end

		         led3         :           begin
												  led<= 8'b1111_1111;            //黄灯亮
															if (t==9) begin
													              cstate<=led0;
																  t<=0;
				                                             end
												            else  if(cnt==T-1)
																   t<=t+1;
															else
															       cstate<=led3;	        
				                               end
                 default      :          begin
                                                    	led<=8'b0000_0000;
                                                    	cstate<=0;
                                              end
				 endcase
end
endmodule 

————————————欢迎交流学习———————————————————

  • 3
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值