解决Altera家的ROM仿真数据一直为0

BUG现象

各种配置都正确,但ROM就是不出数据,输出一直为零。

解决方式

对于rom的仿真,要更改生成的rom文件的一个地方,主要是由于相对路径与绝对路径的问题。

所以最简单的解决方法是把mif文件在仿真工程目录下。

对romip的verilog文件有一个地方需要更改。

当然改完后quartus可能会找不着mif文件的位置,此时可以把mif文件复制到你的quartus工程目录下。

以上。

转载于:https://www.cnblogs.com/kingstacker/p/7475353.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值