如何在Quartus II软件中使用Pin Planner改变一个I/O bank的电压?

为了在Quartus® II的Pin Planner中改变一个I/O bank的电压,你可以参考下面的步骤(假如使用的是IOBANK_1): 

 

1.   打开 Pin Planner (快捷键: CTRL + Shift + N)

2.   在Pin Planner窗口中点击右键菜单并选择 "Show I/O Banks".

3.   在IOBANK_1文本框中点击右键菜单并选择 "I/O bank properties ..."

4.   用你选择的电压只来设置 I/O bank的 VCCIO。

转载于:https://www.cnblogs.com/yuwl26/p/3307013.html

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值