Verilog中if和else if的各种用法总结

当全部使用if判断时,优先级从上到下(往下优先级越高),如果在某一级(设为第n级)的if下加入了else,则当第n级不成立时,则执行else中的语句,前面的n-1级中的判断即使成立也将无效。

当使用if /else if判断时,第一级优先级最高,当第n级结果成立后,后面的n+1,n+2...等即使成立也不再进行判断。

转载于:https://www.cnblogs.com/lightmonster/p/10216776.html

  • 3
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值