linux verilog 编译,foooling

Oct. 6, 2012, 12:02 p.m.

想起来写下博客。

常听人说

Windows 能做而Linux不能做的事,就是不需要做的事

作为一个verilog的入门者,我需要去安装一个叫Modelsim 的货来写verilog。由于经常使用linux,本能地想看看linux能否写verilog。然后touch了一个test.v 使用vim打入module  发现自动高亮了。于是找到了iverilog和gtkwave这种小巧的开源软件。

简单说下这两个软件

iverilog  用来编译verilog  算是相当好的编译器  ubuntu有包,源码自行谷歌

gtkwave  适用于桌面版linux 可以显示VCD波形  下载同上

iverilog命令类似gcc

iverilog -o file module.v testbench.v

这条命令在module.v中使用testbench.v 编译产生可执行文件file

然后

vvp -n file -lxt2

可生成vcd波形文件

最后用gtkwave打开即可看到波形了

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值