8选1的多路选择器c语言代码,八选一数据选择器的VHDL程序

八选一须具选择器:用CASE语句。

LIBRARY    IEEE;

USE      IEEE.STD_LOGIC_1164.ALL;

ENTITY      mux_8_1      IS

PORT (A,B,C,D,E,F,G,H,En:IN STD_LOGIC;

S:in STD_LOGIC_vector(2 downto 0);

Y: out STD_LOGIC);

end     mux_8_1;

ARCHITECTURE     mux   OF     mux_8_1     IS

begin

process( S,A,B,C,D,E,F,G,H,En)

begin

if En='0' then

case  S   is

when "000" => Y<=A;

when "001" => Y<=B;

when "010" => Y<=C;

when "011" => Y<=D;

when "100" => Y<=E;

when "101" => Y<=F;

when "110" => Y<=G;

when "111" => Y<=H;

end case;

end if;

end process;

end  mux;

  • 1
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值