ise 时钟约束_FPGA时序约束理论篇之IO约束

本文详细介绍了FPGA设计中的I/O约束,包括管脚约束和延迟约束。管脚约束涉及PACKAGE_PIN和IOSTANDARD的指定,以及端接在差分信号处理中的重要性。延迟约束如set_input_delay和set_output_delay用于定义输入输出信号相对于时钟的延迟,但并不直接调整信号延迟,而是用于指导布局布线。设计完成后,通过DRC检查确保无关键问题。
摘要由CSDN通过智能技术生成

I/O约束

I/O约束是必须要用的约束,又包括管脚约束和延迟约束。

管脚约束

管脚约束就是指管脚分配,我们要指定管脚的PACKAGE_PIN和IOSTANDARD两个属性的值,前者指定了管脚的位置,后者指定了管脚对应的电平标准。

在vivado中,使用如下方式在xdc中对管脚进行约束。

set_property -dict {PACKAGE_PIN AJ16  IOSTANDARD  LVCMOS18} [get_ports "led[0]"    ]

在Vivado规定,必须要指定管脚电平,不然在最后一步生成比特流时会出错。

除了管脚位置和电平,还有一个大家容易忽略但很容易引起错误的就是端接,当我们使用差分电平时比如LVDS,在在V6中我们使用IBUFDS来处理输入的差分信号时,可以指定端接为TRUE。

IBUFDS #(

.DIFF_TERM("TRUE"),       // Differential Termination

.IOSTANDARD("DEFAULT")     // Specify the input I/O standard

) IBUFDS_inst (

.O(O),  // Buffer output

.I(I),  // Diff_p buffer input (connect directly to top-level port)

.IB(IB) // Diff_n buffer input (connect directly to top-level port)

);

但在Ultrascale中的IBUFDS,却把端接这个选项去掉了

IBUFDS #(

.DQ

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值