Modelsim脚本语言

Modelsim脚本语言之Run.do的心得

 

Run.do是用在modelsim中自动编译仿真,并可以添加波形观察,只需键入“do run.do”即可。

  1. 执行run.do脚本

键入“Do run.do”即可

  1. 编译vlog

Vlog ./keyled.v      (keyled.v跟run.do在一个文件根目录下)

         Ps:1.”./”表示在这个根目录下寻找

  1. 如需返回上一级,键入“/../”。比如,vlog ./../rtl/keyled.v
  2. 如需表示该根目录下的所有信号,使用“*”。比如vlog  ./altera_lib/*.v(表示altera_lib下的所有__.v文件)

3.启动仿真Vsim

         比如,

vsim  -voptargs=+acc  work.keyled_tb(记住就好)

ps:仿真的是测试文件,即含有_tb文件。

4.添加波形add

比如,add wave keyled_tb / keyled/*

  • :添加波形也是测试文件中的信号,其中keyled是顶层例化文件的名字,其后的“*”,表示添加keyled中的所有信号。

5.运行仿真时间run

比如run 1000ns(时间可变)

 

模板:

quit -sim

.main clear

 

vlib   work

 

vlog  ./tb_ex_ipcore.v

vlog  ./altera_lib/*.v

vlog  ./../design/*.v

vlog  ./../prj/ipcore_dir/pll1.v

vlog  ./../prj/ipcore_dir/rom_8x256.v

 

vsim  -voptargs=+acc  work.tb_ex_ipcore

 

add wave tb_ex_ipcore/ex_ipcore_inst/*

 

run 1000ns

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值