关于verilog中的有符号算数

本文通过实例演示Verilog中进行有符号算术运算的情况,通过半加器的源代码及测试平台验证,展示了Verilog如何处理不同数值的加法,证明其采用补码表示法,而非符号位加绝对值的方式。仿真结果显示了各种组合的正确输出,有助于理解Verilog的有符号数处理机制。
摘要由CSDN通过智能技术生成

  很多初学者总在纠结verilog的的有符号数代表的是原码还是补码。其实很简单,写个简单的半加器验证一一下就知道了。如半加器的源代码如下:

module Adder(
a,
b,
out);

input signed [3:0] a,b;
output  signed [5:0] out;

assign  out = a + b;

endmodule

测试平台如下:

//--------------------------Adder_tb.v-------------------------------
`timescale  1ns/1ns


module Adder_tb;
reg signed [3:0] a,b;
//reg   [3:0] b;

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值