simvision使用

Access Design Source Code:

1)通过file---open来打开,

2)通过send to source viewer来看,

双击信号,进行driver的trace,显示在左侧的driver/load tab或者直接转到下一个scope的source code file

查找:

1)右侧tool bar

2)edit-----search,

signal的选择,

1)单击或者框选,

2)select---scope/port/signal

信号右键的功能:

1) Follow signal,相当于verdi中的connection功能。

2) Trace Driving logic/Trace loading logic

3) send to waveform/watch/Schematic/Design/Design file window

4) Create Probe,

5) Show Value

6) Set Force/Release Force/Deposit Value

 

在进行trace的时候,如果对象是Verilog的register或者VHDL的 variable,直接进入相应的source code

                           如果对象是Verilog的net或者VHDL signal,进入左侧的sidebar

在source code中可以直接进行edit,然后revoke来直接进行编译

  • 3
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值