后仿真中的必懂VCS仿真选项之initreg和deposit

后仿真中,我们常常对于没有复位寄存器和存储器单元,使用仿真选项之initreg和deposit,两种处理方式。今天做总结。

再具体使用的时候,要注意什么呢?

一 关于+vcs+initreg+* 选项

请参见之前的博客文章:

#VCS# 关于VCS 编译选项:+vcs+initreg+random的理解(1)掌握要点-CSDN博客

#VCS# 关于VCS 编译选项:+vcs+initreg+random的理解(2)结果检查_fsdb delta-CSDN博客

#VCS# 关于VCS 编译选项:+vcs+initreg+random的理解(3)回头是岸_vcs initreg-CSDN博客

二  关于 deposit 用法

 2.1  后仿中的无复位寄存器、存储

在芯片设计后端实现过程中,处于减少功耗、面积的考虑,会存在部分的无复位寄存器和存储单元,而在使用VCS进行后仿真时,无复位的寄存器和存储单元输出会是X态,因此会导致仿真异常,仿真结果不符合预期。

因此在仿真时,需要对无复位的寄存器和存储单元进行初始化。即在0时刻,对无复位寄存器的q端进行赋值,紧接着无复位寄存器的q端在非0时刻就随着电路信号的驱动而变化,使用$deposit赋值不会像force那样一直强行改变信号,仅在初始0时刻赋值一个确定值,接着就被电路驱动接管。

提取方法:spyglass lint 工具会report 无复位寄存器,完成综合后 也可以使用命令提取。

2.2  $deposit初始化无复位寄存器、存储

使用$deposit对无复位寄存器和存储进行初始化,是在0时刻,赋一个初始值。使用格式如下所示,在0时刻,对无复位寄存器的q端进行赋值,一般默认为0,无复位寄存器的q端在非0时刻会随着电路信号的变化而变化,使用$deposit赋值不会导致电路信号的变化,仅仅在初始化阶段赋值一个确定值。

initial
begin
   $deposit (lif_u0__lif0_mac_u0__mac_tx_u0__tx_data_in_reg_1_.q,’b0);
   $deposit (lif_u0__lif0_mac_u0__mac_tx_u0__tx_data_in_reg_2_.q,’b0);
   $deposit (lif_u0__lif0_mac_u0__mac_tx_u0__tx_data_in_reg_3_.q,’b0);
end

总结规律: 请勿将两者进行同时使用。对于到底选取哪种方式,要结合实际的情况决断。但是,两者同时使用,会有冲突,得不到预期结果。

  • 3
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值