vivado路径最大时钟约束_Vivado开发流程

本文以Vivado 2017.3为例,详细介绍了如何创建一个LED跑马灯的RTL工程,包括创建项目、添加源文件和约束文件、配置ZYNQ AX7020开发板、时钟约束设置、编译生成bit文件以及仿真验证设计结果。通过约束文件的两种编写方式,确保了管脚和时钟的正确约束。
摘要由CSDN通过智能技术生成

工具以vivado2017.3为例

工程以led跑马灯为例

开发板以ZYNQ ax7020为例

一、创建一个新的RTL工程

1、打开vivado2017.3软件,左侧有三栏 quick start(快速开始)、tasks(工作)、learning center(学习中心),点击create project 开始创建工程。

18a183298ce30a307353607c4f3be693.png

2、上个页面是介绍页面,直接next。此页面是输入工程名字和选择工程所在的路径。 注意:工程名字不能含有中文 点击next

2db80339cecc0a60d98ab73dfb56922f.png

3、project type (项目类型) 选择RTL project ,点击next

4971916be5b9ae7027e9dfb2ac64a6e7.png

4、添加源文件 ( sources file),点击第三个create files ,如下图所示,可以添加多个文件,文件类型选择Verilog,路径上边是默认路径,下边是自己选择的路径,选择刚才创建的工程路径下。 点击OK,之后再点击next 注意 :源文件也可以进去页面后再进行添加

319d45706fa9de397ce482760156137f.png

168231c714d0ddcdb3668125a081393e.png

5、添加约束文件(constraints file),文件类型为XDC,过程和创建sources file 类似。点击OK 注意:约束文件可以进去后再进行添加约束管脚(第一种:

9f628818936b5f0bc3617fc294da2aeb.png

6、选择器件(开发板ZYNQ AX7020),配置如下所示,器件家族“Family”选择“Zynq-7000”,AX7020 开发板封装类型 “Package”选择“clg400”,也可以通过搜索框输入选择。点击next

76d98541d1c160f1626ca15c725051dd.png

7、信息确认无误后

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值