有限状态机练习

本文介绍了使用Verilog实现一个有限状态机的例子,该状态机处理n信号的传输,包括起始位、8个数据位和停止位。状态机从idle开始,根据输入in的状态在start、eight、WAIT和stop状态间转换,并通过计数器控制数据位的传输。设计中包含了时序和组合逻辑电路,以及如何处理状态变化和输出done信号。
摘要由CSDN通过智能技术生成

n信号包含了一个起始位(0),8个数据位和一个停止位(1),开始in为1,也就是idle状态,当in为0时,进入start状态,然后经过8个周期,如果in为1,则进入stop状态,接着如果in为0,进入第二轮start状态,否则进入idle状态。

3.png

 

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output done
); 
    
    parameter start=4'b0;
    parameter one=4'b0001;
    parameter two=4'b0010;
    parameter three=4'b0011;
    parameter four=4'b0100;
    parameter five=4'b0101;
    parameter six=4'b0110;
    parameter seven=4'b0111;
    parameter eight=4'b1000;
    parameter WAIT=4'b1001;
    parameter idle=4'b1010;
    parameter stop=

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值