Verilog中上升沿触发的理解

本文详细解析了在Verilog中如何实现输入信号正边缘检测,通过一个具体的例子说明了非阻塞赋值的特性,并通过仿真对比了输入信号与时钟同步与不同步时的波形差异,揭示了`always @(posedge clk)`语句的工作原理。
摘要由CSDN通过智能技术生成

在HDLBits中有一道题,

对于8位向量中的每一位,检测输入信号何时从一个时钟周期的0变化到下一个时钟周期的1(正边缘检测)。输出位应该在发生0到1转换后的周期,如下示意图所示:10.png

给出的答案为:

module top_module (
    input clk,
    input [7:0] in,
     output reg [7:0] temp_in,
    output reg [7:0] pedge
);

    
    always @(posedge clk) begin
        temp_in <= in;
        pedge <= ~temp_in & in;
    end

endmodule

从题目的图上来看,input in[7:0]的0-1变化是和clk上升沿同步,但其实图上表达的是,in[7:0]比clk上升沿延迟一段时间从0突变为1,为验证input in[7:0]和clk同步和不同步时输出的波形,做了仿真

 

当in相较于clk晚一个步长时,仿真和题目所给波形一致,表明题目的input和clk不是同步的,always@(posedge clk) temp_in<

  • 3
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值