verilog 按键控制LED的亮灭状态

主要功能:按下按键0,从左到右点亮led;按下按键1,从右到左点亮led;按下按键2时,四个led间隔亮灭;按下按键3时,点亮全部led。亮灭间隔时间为0.5s,时钟为50MHz.

//author :bronceyang
//time :2020年4月16日
//version: 1.0
//功能:实现按键控制led的亮灭


module key_led(
	input sys_clk,
	input sys_rst_n,
	input [3:0]key,
	output reg [3:0]led
	
);

//parameter define
parameter COUNTER_T=25'd25_000_000;


//reg define
reg [24:0]cnt_time;
reg [1:0]led_control;

//wire define


//*******************************************
//              main()
//*******************************************

//产生0.5s的计数器
always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)
		cnt_time<=25'd0;
   else if (cnt_time<COUNTER_T)
		cnt_time<=cnt_time+1'b1;
	else 
		cnt_time<=25'd0;
end

//led 状态的选择
always @(posedge sys_clk or negedge sys_rst_n)begin
	if (!sys_rst_n)
		led_control<=2'b00;
	else if (cnt_time==COUNTER_T)
		led_control<=led_control+1'b1;
	else 
		led_control<=led_control;
end
		
//识别按键输入,输出相应的led状态
always@(posedge sys_clk or negedge sys_rst_n)begin
		if(!sys_rst_n)
			led<=4'b0000;          //LED 高电平点亮,低电平熄灭
		else if (key[0]==1'b0)    //按键按下输出低电压
			case(led_control)
				2'b00:led<=4'b1000;
				2'b01:led<=4'b0100;
				2'b10:led<=4'b0010;
				2'b11:led<=4'b0001;
				default:led<=4'b0000;
			endcase
		else if (key[1]==1'b0)
			case(led_control)
				2'b00:led<=4'b0001;
				2'b01:led<=4'b0010;
				2'b10:led<=4'b0100;
				2'b11:led<=4'b1000;
				default:led<=4'b0000;
			endcase
	   else if (key[2]==1'b0)
			case(led_control)
				2'b00:led<=4'b1111;
				2'b01:led<=4'b0000;
				2'b10:led<=4'b1111;
				2'b11:led<=4'b0000;
				default:led<=4'b0000;
			endcase
		else if (key[3]==1'b0)
				led<=4'b1111;
		else
				led<=4'b0000;
				
    end	
	
endmodule 

testbench:

`timescale 1 ns/ 1 ns
module key_led_tb();

parameter T = 20;

reg  [3:0]  key      ;
reg         sys_clk  ;
reg         sys_rst_n;
reg         key_value;

wire [3:0]  led;

initial begin   
     key                <=4'b1111;//按键初始状态为全断开
     sys_clk            <=1'b0;   //初始时钟为低电平
     sys_rst_n          <=1'b0;   //复位信号初始为低电平
#T   sys_rst_n          <=1'b1;   //一个时钟周期后复位信号拉高

#600_000_020 key[0]     <=0;      //0.6s时按下按键1
#2000_000_000 key[0]     <=1;    
key[1]                  <=0;      //2s后松开按键1,按下按键2
#2000_000_000 key[1]     <=1;   
key[2]                  <=0;      //2s后松开按键2,按下按键3
#2000_000_000 key[2]     <=1;   
key[3]                  <=0;      //2s后松开按键3,按下按键4    
#2000_000_000 key[3]     <=1;      //2s后松开按键4

end 

 

仿真分析:

  • 8
    点赞
  • 79
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
Verilog按键控制LED实验是一种基于数字逻辑设计的实验,通过按键操作来控制LED灯的开启和关闭。 首先,我们需要使用Verilog语言编写一个简单的电路模块,包括一个按键输入和一个LED输出端口。该模块应能够检测按键状态,并根据按键状态控制LED输出端口。一种常见的做法是使用一个触发器来存储按键状态,在按键被按下的瞬间改变LED输出状态。 接下来,我们需要在开发板上搭建硬件平台,并将按键和LED连接到相应的引脚上。通过配置Verilog代码和引脚映射,我们能够将按键和LED正确连接到FPGA芯片上。 在实验过程中,我们可以通过按下或释放按键来控制LED状态。当按下按键时,Verilog模块将检测到按键状态改变,并将LED输出端口的状态相应改变,从而使LED起。当释放按键时,LED输出状态保持不变,灯继续。 最后,我们可以通过编译、逻辑仿真和实际硬件测试来验证我们的Verilog代码。在验证过程中,我们可以使用仿真工具来模拟按键操作,并观察LED的输出状态是否符合预期。如果验证通过,我们可以将代码烧录到FPGA芯片上,并通过实际按键操作来控制LEDVerilog按键控制LED实验是一种实用和有趣的数字电路设计实验,能够培养学生的逻辑设计和硬件调试能力。通过这个实验,我们可以学习到数字逻辑设计的基本原理和Verilog语言的应用,同时也能够体验到硬件和软件之间的紧密配合。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Bronceyang131

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值