【验证基础】基于spice网表和xa工具的数模混仿


hspice语法

前提

1、spice网表
2、vcs-xa工具
3、verdi等dump波形工具

混仿配置文件

xavcs.ctrl
mix_sim.cfg
phy.spi

文档资料

Mixed_Signal Simulation User Guide.pdf
customsim command reference.pdf

混仿CFG文件

//XaVcs.ctrl xa工具仿真配置文件
set_bus_format <%d>;
//设置bus的映射格式(wrie连线)
use_spice -cell HXXXXXX100_A port_map(*=>snps_by_name);
/*
根据名称建立spice网表顶层和rtl连线关系
use_spice -cell xxxxxxxV100_A port_map(cr_para_addr => {cr_para_addr[1],cr_para_addr[0]});
use_spice -cell subcricuit_name[-inst instance_name][port_map(port_map_list)]
一般来讲,不使用inst参数,port_map_list中左侧为subckt的信号,右侧为rtl信号
use_verilog -module module_name [-inst instance_name][port_map(port_list)]
我们可以在exec/uvm_test_simv.msv/interface_element.rpt找到对应node顶层信息
*/
choose xa -nspectre $XXX_VERIFY_ANA_DIR/XXX.spi -c $XXX_VERIFY_ANA_DIR/mix_sim.cfg -o $ANA_O_DIR
//选择仿真器 xa工具
print_thru_net all;
duplicate_net_inst_name enable;
d2a hiv=0.75 lov=0.0 node=
a2d loth=0.45 hith =0.55 hiz_off node=
//转换电压
//mix_sim.cfg
set_sim_level -level 4
//精度范围1~7,默认3,常使用4
set_duplicate_rule -select_subckt first
//定义spice网表重复定义是选择相应例化以防止报错
set_sim_case -case sensitive
//例化名字是否考虑大小写
enable_print_statement yes
//。print将信息打印到文件中,否则默认打印到波形汇总
set_waveform_option -size 800
set_waveform_option -flush 1us
set_waveform_option -format fsdb
set_message_option -limit 5000
//最大warning数量
probe_wave_form_voltage -vsub harness.U_*.U_*_0.* -level 6
//模拟网表仿真电压层次,dump模拟乡下6曾电压波形
probe_wave_form_voltage -vsub * -subckt XXX_RX_DATA_XXX -limit 1
probe_wave_form_current -isub harness.U_*.U_*_0.* -level 6
//模拟网表仿真电流层次,dump模拟乡下6曾电压波形
probe_wave_form_voltage -isub * -subckt XXX_RX_DATA_XXX -limit 1
set_multi_core -core 4
//使用核数量
cmp_opt= -ad=path/XaVcs.ctrl 
.temp 25.0 与cornor配合使用
.lib 'path/cornor_usage.l' text
.inc 'path/xxx.cdl'

关键信息日志

//hierarchy.rpt 记录网表中subckt的层级路径
//interface_element.rpt 记录a2d和d2a的转换命令
//port.rpt 记录subckt和module顶层和 use_spice、use_verilog下接口映射关系
//name_map.rpt 记录spice网表顶层和数字顶层的映射关系

warning检查

  • shorted 短路检查
  • unconnected node
  • division-by zero

优化建议

  • 使用use_verilog替换PLL
  • set_sim_level调整
  • spcie网表替换成理想vco模型
v1 vcoclk<0> vss pulse(0,0.9 1/8*2.976) 10p 10p ....
  • 精简dump波形
  • 精简TC用例
  • 2
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
### 回答1: 开关电源是一种非常重要的电源,它可以将一个直流电压转换成另一个直流电压。开关电源的设计和仿真是非常重要的,因为它涉及到电源的性能、可靠性和安全性等方面。 基于Spice的开关电源设计和仿真是目前比较常见的方法之一,它可以提高电源的效率和稳定性,同时也减少了电源的成本和体积。在使用Spice进行开关电源的设计和仿真时,需要先下载相应的软件,并且掌握一定的电路设计和仿真基础知识。 在设计开关电源时,需要考虑到电源的输入电压、输出电压、输出电流、功率等指标,并选择合适的器件和元件进行设计。在仿真时,通过Spice软件模拟电路中的各种元件和器件的工作状态,以便了解电路的性能和稳定性,从而不断优化和改进电源的设计。 需要注意的是,开关电源的设计和仿真是一个复杂的过程,需要耐心和细心,同时需要不断学习和实践,才能做出高效、稳定、可靠的开关电源。 ### 回答2: 开关电源仿真与设计基于SPICE下载是一种可以帮助工程师在开发和设计开关电源系统时提高工作效率的工具SPICE(Simulation Program with Integrated Circuit Emphasis)是一种电路仿真软件,可用于电路设计,分析和模拟,对于希望通过计算机得到对电路的行为、性能等方面的了解的电子工程师来说是必不可少的工具。该工具软件可以实现开关电源装置的数值计算、仿真,便于用计算机来验证电路效果。 为了正确地设计开关电源系统,首先需要建立完整的电路模型,这个模型能反映出所有的元件和电路组成,包括输入电容、变压器等,然后就可以使用SPICE仿真分析软件来进行设计验证。 使用开关电源仿真与设计基于SPICE下载这个工具,可以快速地产生电源设计和验证的结果,并且可以快速更改参数,此外还可以通过仿真结果来使设计者得到对电源行为的深入了解和对电路参数的调试。这个软件工具对于设计可靠性高、性能优良的电源产品是非常有帮助的。使用开关电源仿真与设计基于SPICE下载这个工具,将会使工程师更容易地理解电源电路的特性,降低错误和改进电源的性能,从而提高产品的质量和可靠性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值