system verilog中super和this用法示例

在system verilog中经常会遇到super和this;super用来获取超类的成员变量、函数等,this作用是先在当前作用域内寻找对应的参数,接着在上一级作用内寻找,直到找到该变量为止.
1.定义new_reg_xaction和new_xaction
new_xaction继承于new_reg_xaction,类中都定义了m_reg_addr.
在这里插入图片描述
在这里插入图片描述
2.示例
在环境中例化new_xaction,随机化之后调用get_super_addr,从打印信息中可以看出,this.m_reg_addr获取的是new_xaction中的值,super.m_reg_addr获取的是new_reg_xaction中的值
在这里插入图片描述
在这里插入图片描述

  • 2
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值