systemverilog内super的使用

当父类和子类有相同名字的方法(包括function和task),子啊子类里面调用该方法名,会使用子类内的方法;若在子类里面想要使用父类的方法,此时就需要使用super来调用。
例子如下图所示:

class a;
    function display_more(int a);
        $display("a=%0d",a);
    endfunction
endclass
class b extends a;
    function display_more(int a);
        $display("b=%0d",a);
    endfunction
    function compare_diff(int a);
        display_more(a);              //调用子类内方法
        super.display_more(a);     //调用父类中的方法
    endfunction
endclass
program super_test;
    b b1;
    initial begin
        b1 = new();
        b1.compare_diff(1);
    end
endprogram

运行结果为:

b=1
a=1
  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值