iserdese2接口详解_基于FPGA的LVDS过采样技术研究并用Xilinx评估板进行验证 - 全文...

本文介绍了基于FPGA的LVDS过采样技术,使用Xilinx 7系列FPGA的ISERDESE2,实现了1.25Gbps的异步过采样。关键部分包括ISERDESE2、时钟采样、数据恢复单元和时钟同步状态机的设计,通过仿真和硬件验证,证实了系统的正确性和高速性能。
摘要由CSDN通过智能技术生成

摘要:针对LVDS接口,研究并实现了一种基于FPGA的LVDS过采样技术,重点对LVDS过采样技术中系统组成、ISERDESE2、时钟采样、数据恢复单元、时钟同步状态机等关键技术进行了描述,并基于Xilinx FPGA进行了验证,传输速率达到了1.25Gbps。文章的研究为基于FPGA实现系统之间的高速互连具有一定的工程参考价值。

0 引言

在数字系统互联设计中,高速串行传输方式正逐步替代并行传输方式成为主流。作为串行传输标准的一种,低电压差分信号传输(LVDS)接口具有高速率、低功耗、低噪声和低电磁干扰等优点,广泛应用于高速数字系统设计中。而在实际应用中,采用现场可编程门阵列(FPGA)实现高速LVDS是一种性价比较高的技术途径。

随着半导体工艺的进步,FPGA的性能和集成度在不断提高,在FPGA芯片中均集成SelecTIO资源,通过配置逻辑资源和I/O,可以生成支持LVDS标准的接口,实现高速LVDS接口互联通信。在传统的LVDS互连设计中,均采用同步采样方式,在发送端,一组数据伴随一个时钟同时传输,在接收端,利用一个时钟去采集数据。在Xilinx最新的7系列器件中,支持一种异步过采样方法,当采样的数据时钟相近时(±100ppm)利用SelecTIO资源中的ISEKDES2原语可以实现4X最高频率为1.25Gbps的异步过采样。

本文介绍了一种基于FPGA实现异步LVDS过采样的技术,重点对系统组成、ISERDESE2、时钟采样、数据恢复单元、时钟同步状态机等关键技术进行了描述,并基于Xilinx FPGA进行了验证,传输速率达到了1.25Gbps。

1 异步过采样系统组成

本文采用Xilinx公司的7系列FPGA作为核心器件,基于SelecT

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值